Instances solved by GhostQ___plain_pcnf_2019
Prenex CNF Track

InstanceResultTime
axquery_query71_1344SAT0
trueque_query64_1344SAT0
trueque_query60_1344nUNSAT0
exquery_query64_1344nUNSAT0
exquery_query64_1344SAT0
exquery_query71_1344SAT0
falsequ_query60_1344nUNSAT0
falsequ_query64_1344nUNSAT0
nxquery_query71_1344nUNSAT0
nxquery_query71_1344SAT0
small-synabs-fixpoint-3UNSAT0
falsequ_query64_1344SAT0
trueque_query64_1344nUNSAT0
falsequ_query71_1344nUNSAT0
test5_quant7SAT0
falsequ_query71_1344SAT0
nxquery_query42_1344nUNSAT0
trueque_query71_1344SAT0
irst.dme6.B-d4SAT0
trueque_query71_1344nUNSAT0
axquery_query71_1344nUNSAT0
exquery_query71_1344nUNSAT0.32
axquery_query64_1344nUNSAT0.37
axquery_query42_1344nUNSAT0.38
axquery_query64_1344SAT0.51
nxquery_query64_1344nUNSAT0.52
exquery_query42_1344nUNSAT0.58
small-pipeline-fixpoint-1UNSAT0.61
cmu.dme1.B-f3SAT0.62
s01423_PR_4_90UNSAT0.76
cmu.dme2.B-f3SAT0.76
itc-b13-fixpoint-2UNSAT0.76
eijk.bs3330.S-d3SAT0.78
s01423_PR_4_75UNSAT0.78
unit9_2_bSAT0.9
stmt41_160_235UNSAT0.9
trivial_query60_1344nUNSAT1.07
cache-coherence-3-fixpoint-1UNSAT1.07
trivial_query71_1344SAT1.08
ntrivil_query71_1344SAT1.13
ntrivil_query71_1344nUNSAT1.14
trivial_query71_1344nUNSAT1.15
arbiter-05-comp-error01-qbf-hardness-depth-8UNSAT1.15
stmt19_83_412UNSAT1.2
stmt21_181_369UNSAT1.21
small-synabs-fixpoint-10UNSAT1.25
trivial_query64_1344SAT1.26
ntrivil_query64_1344SAT1.28
itc-b13-fixpoint-3SAT1.36
trivial_query64_1344nUNSAT1.36
stmt29_226_376UNSAT1.36
ntrivil_query64_1344nUNSAT1.37
ethernet-fixpoint-1UNSAT1.43
ntrivil_query42_1344nUNSAT1.45
sdlx-fixpoint-3UNSAT1.51
vis.prodcell^01.E-d4SAT1.6
s05378_PR_5_2SAT1.8
s05378_PR_5_20SAT1.81
cache-coherence-2-fixpoint-2UNSAT1.81
cmu.gigamax.B-d4SAT1.82
stmt19_313_412UNSAT1.84
nusmv.tcas-t^1.B-d2SAT1.85
stmt21_310_360UNSAT1.88
small-pipeline-fixpoint-2UNSAT1.92
itc-b13-fixpoint-4SAT1.97
sdlx-fixpoint-4UNSAT2.18
nxquery_query50_1344nSAT2.38
texas.PI_main^05.E-f3SAT2.82
itc-b13-fixpoint-5SAT2.84
texas.PI_main^08.E-f3SAT2.87
nusmv.tcas^2.B-f2SAT2.95
sdlx-fixpoint-5UNSAT2.99
nusmv.tcas^3.B-f2SAT3.05
itc-b13-fixpoint-6SAT3.18
small-pipeline-fixpoint-3UNSAT3.25
nusmv.reactor^3.C-d4SAT3.3
cache-coherence-3-fixpoint-2UNSAT3.59
p20-1.pddl_planlen=49SAT3.82
p20-1.pddl_planlen=48SAT3.84
ethernet-fixpoint-2UNSAT3.85
itc-b13-fixpoint-7SAT3.95
sdlx-fixpoint-6UNSAT4.01
k_ph_n-11SAT4.04
b20_PR_7_20SAT4.13
s38584_PR_9_5SAT4.26
itc-b13-fixpoint-8SAT4.44
stmt52_295_394UNSAT4.62
eijk.bs1512.S-f4SAT4.76
incrementer-enc09-nonuniform-depth-15UNSAT4.76
nusmv.tcas^4.B-f3SAT4.97
cache-coherence-2-fixpoint-4UNSAT5.06
cache-coherence-3-fixpoint-3UNSAT5.15
small-seq-fixpoint-3UNSAT5.49
sdlx-fixpoint-7SAT5.59
itc-b13-fixpoint-9SAT5.86
usb-phy-fixpoint-3UNSAT5.99
b21_PR_8_20SAT6.19
s38584_PR_9_50SAT6.21
stmt16_818_819SAT6.29
cache-coherence-2-fixpoint-5UNSAT6.31
s820_d10_sSAT6.4
incrementer-enc07-nonuniform-depth-25UNSAT6.59
sdlx-fixpoint-8SAT6.81
s09234_PR_7_2SAT6.97
itc-b13-fixpoint-10SAT7.02
incrementer-enc08-nonuniform-depth-32UNSAT7.28
pi-bus-fixpoint-1UNSAT7.37
ethernet-fixpoint-3UNSAT7.42
nusmv.tcas^6.B-f4SAT7.57
cache-coherence-2-fixpoint-6UNSAT7.63
b14_PR_1_50SAT7.8
p10-5.pddl_planlen=24SAT8
sdlx-fixpoint-9SAT8.01
s820_d11_uUNSAT8.12
p10-5.pddl_planlen=25SAT8.15
stmt39_285_335UNSAT9.48
sdlx-fixpoint-10SAT9.58
s15850_PR_6_10SAT10.24
small-seq-fixpoint-5UNSAT10.55
rankfunc19_unsigned_64SAT11.01
rankfunc19_signed_64SAT11.08
incrementer-enc06-nonuniform-depth-33SAT11.4
usb-phy-fixpoint-4UNSAT12.04
stmt32_329_378UNSAT13.07
ethernet-fixpoint-4UNSAT15.09
small-seq-fixpoint-7UNSAT15.6
eijk.bs4863.S-d4SAT17.2
b17_PR_2_50SAT17.89
small-seq-fixpoint-8UNSAT18.16
usb-phy-fixpoint-5UNSAT19.77
small-seq-fixpoint-9UNSAT20.82
p10-10.pddl_planlen=19SAT22.75
small-seq-fixpoint-10UNSAT22.77
p10-10.pddl_planlen=20SAT23.8
incrementer-enc09-uniform-depth-17SAT25.11
rankfunc42_signed_64SAT27.37
AR-fixpoint-1FAIL28.76
arbiter-06-comp-error01-qbf-hardness-depth-11UNSAT30.88
test3_quant2UNSAT33.43
test3_quant_squaring2UNSAT34.37
audio_ddksynth_csynth2.cppSAT35.01
b22_PR_9_90UNSAT36.09
unit12_2_bSAT42.68
unit8_2_bSAT46.05
sortnetsort9.AE.stepl.012UNSAT48.19
input_mouser_detect.cSAT57.76
szymanski-20-sUNSAT62.9
stmt124_966_965SAT67.08
eequery_query71_1344nSAT70
stmt5_731_730SAT74.13
b22_PR_8_20SAT74.27
rankfunc21_unsigned_64SAT75.83
rankfunc3_unsigned_64SAT83.34
rankfunc30_signed_64SAT95.17
c6_BMC_p2_k1024UNSAT97.93
k_ph_n-15SAT104.1
rankfunc21_signed_64SAT108.18
rankfunc3_signed_64SAT109.96
stay24n.satSAT111.58
szymanski-24-sUNSAT115.14
kmdf_pcidrv_sys_hw_physet.cSAT117.02
rankfunc30_unsigned_64SAT118.26
stmt21_319_418SAT124.71
s09234_PR_7_20SAT141.08
pi-bus-fixpoint-2UNSAT169.35
rankfunc18_unsigned_64SAT176.73
ken.flash^09.C-d4UNSAT177.45
p20-10.pddl_planlen=39SAT188.94
eijk.S1196.S-f2UNSAT196.86
unit11_3_bUNSAT231.19
p20-10.pddl_planlen=40SAT232.42
fpu-10Xh-correct04-nonuniform-depth-14UNSAT233.1
k_ph_p-11UNSAT249.09
fpu-01Xh-error02-nonuniform-depth-18UNSAT308.48
AR-fixpoint-5FAIL324.3
fpu-10Xh-error01-nonuniform-depth-27SAT390.51
fpu-01Xh-error02-nonuniform-depth-27SAT397.2
fpu-10Xh-correct04-nonuniform-depth-28SAT418.91
reachqu_query60_1344nUNSAT447.46
pi-bus-fixpoint-3UNSAT453.82
b18_PR_4_2SAT495.27
fpu-10Xh-error01-uniform-depth-20FAIL604.27
fpu-10Xh-correct04-uniform-depth-18UNSAT617.48
C6288.blif_0.10_0.20_0_1_inp_exactSAT631.45
c2_BMC_p1_k2048FAIL646.09
fpu-01Xh-error02-uniform-depth-24FAIL658.1
fpu-10Xh-error01-uniform-depth-25FAIL673.52
fpu-10Xh-correct04-uniform-depth-28FAIL693.41
k_ph_n-18SAT741.99
add4_CHOOSESAT752.58
eequery_query42_1344UNSAT816.76
eequery_query42_1344nSAT875.71
depots16_7FAIL900
mult9.satFAIL900
driverlog11_8FAIL900
driverlog11_9FAIL900
driverlog12_8FAIL900
depots09_12FAIL900
driverlog12_9FAIL900
gttt_2_2_000111_4x4_w_2020FAIL900
gttt_2_2_000111_4x4_torus_w_2020FAIL900
gttt_2_2_000111_4x4_torus_b_2020FAIL900
gttt_2_2_000111_4x4_b_2020FAIL900
driverlog13_7FAIL900
bs128y.satFAIL900
mult_bool_matrix_12_13_11.satFAIL900
driverlog10_7FAIL900
add6_REDUCEDFAIL900
depots09_13FAIL900
depots10_8FAIL900
eequery_query64_1344FAIL900
bs128n.satFAIL900
mult_bool_matrix_18_18_18.satFAIL900
depots13_9FAIL900
depots16_5FAIL900
mult_bool_matrix_dyn_9_5.satFAIL900
add20y.satFAIL900
depots09_11FAIL900
driverlog13_8FAIL900
driverlog14_8FAIL900
gttt_2_1_00011020_4x4_b_2020FAIL900
freecell03_7FAIL900
freecell04_7FAIL900
freecell04_8FAIL900
pipesnotankage19_9FAIL900
freecell04_9FAIL900
pipesnotankage15_10FAIL900
pipesnotankage19_7FAIL900
pipesnotankage17_7FAIL900
pipesnotankage17_6FAIL900
gttt_2_1_00102030_4x4_torus_b_2020FAIL900
pipesnotankage17_5FAIL900
freecell03_6FAIL900
freecell03_5FAIL900
freecell02_6FAIL900
driverlog14_9FAIL900
gttt_2_1_001020_4x4_torus_w_2020FAIL900
freecell01_6FAIL900
freecell02_4FAIL900
gttt_1_1_000111_4x4_torus_b_2020FAIL900
gttt_1_1_000111_4x4_torus_w_2020FAIL900
gttt_1_1_00101121_4x4_torus_w_2020FAIL900
arbiter-10-comp-error01-qbf-hardness-depth-24FAIL900
arbiter-10-comp-error01-qbf-hardness-depth-23FAIL900
arbiter-09-comp-error01-qbf-hardness-depth-21FAIL900
freecell02_5FAIL900
arbiter-09-comp-error01-qbf-hardness-depth-15FAIL900
pipesnotankage16_10FAIL900
unit6_3_bFAIL900
genbuf10b4n.unsatFAIL900
genbuf9b4n.unsatFAIL900
beemldelec4b1_c0to127.satFAIL900
load_3c_comp_comp7_REAL.unsatFAIL900
load_full_4_comp3_REAL.unsatFAIL900
ltl2dba_C2-6_comp3_REAL.satFAIL900
ltl2dpa_C26_comp2_REAL.satFAIL900
mult_bool_matrix_10_9_11.satFAIL900
reachqu_query71_1344FAIL900
reachqu_query64_1344FAIL900
reachqu_query64_1344nFAIL900
reachqu_query60_1344FAIL900
mult_bool_matrix_10_9_11.unsatFAIL900
query64_query42_1344nFAIL900
mult_bool_matrix_17_17_17.satFAIL900
add6_CHOOSEFAIL900
add6_COMPLETEFAIL900
driver_d9y.satFAIL900
driver_a9n.satFAIL900
cycle_sched_6_7_1.satFAIL900
ceiling128FAIL900
decomposition256FAIL900
s15850_PR_0_50FAIL900
equalization32FAIL900
floor256FAIL900
intermediate128FAIL900
bobsmfpuFAIL900
eijkbs4863FAIL900
kenflashp12FAIL900
neclaftp4001FAIL900
pdtpmsmiimFAIL900
ProcessBeanFAIL900
ProjectService3FAIL900
amba2f9n.satFAIL900
amba4b9y.unsatFAIL900
cycle_sched_4_7_1.satFAIL900
cycle_sched_4_7_1.unsatFAIL900
add5_CHOOSEFAIL900
add7_REDUCEDFAIL900
PhaseServiceFAIL900
NotificationServiceImpl2FAIL900
amba2c7n.satFAIL900
nreachq_query71_1344FAIL900
nreachq_query71_1344nFAIL900
amba3b5y.satFAIL900
nreachq_query54_1344FAIL900
cycle_sched_12_2_1.satFAIL900
cycle_sched_2_10_1.satFAIL900
cycle_sched_4_4_2.satFAIL900
cycle_sched_6_6_2.satFAIL900
driver_a10y.satFAIL900
driver_b8n.satFAIL900
driver_c9n.satFAIL900
driver_c9y.satFAIL900
beemskbn1f1_c0to7.satFAIL900
load_2c_comp_comp7_REAL.satFAIL900
LoginServiceFAIL900
GuidanceService2FAIL900
GuidanceServiceFAIL900
add5_REDUCEDFAIL900
add7_CHOOSEFAIL900
add7_COMPLETEFAIL900
add5_COMPLETEFAIL900
ceiling256FAIL900
decomposition128FAIL900
equalization128FAIL900
floor128FAIL900
intermediate256FAIL900
bobtuint31negFAIL900
eijkbs3330FAIL900
kenflashp04FAIL900
neclaftp2002FAIL900
pdtpmsrotate32FAIL900
ConcreteActivityServiceFAIL900
IssueServiceImplFAIL900
IterationServiceFAIL900
ltl2dba_C2-8_comp4_REAL.satFAIL900
depots08_6FAIL900
k_ph_p-15FAIL900
sortnetsort9.v.stepl.007FAIL900
biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-007FAIL900
biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007FAIL900
biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-009FAIL900
biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-008FAIL900
biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-007FAIL900
biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-010FAIL900
biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009FAIL900
biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-008FAIL900
biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-009FAIL900
sortnetsort9.v.stepl.005FAIL900
sortnetsort9.AE.stepl.009FAIL900
s1269_d15_uFAIL900
ev-pr-6x6-17-5-0-1-2-lgFAIL900
ken.flash^05.C-d3FAIL900
ken.flash^08.C-d4FAIL900
sortnetsort10.AE.stepl.006FAIL900
sortnetsort10.AE.stepl.008FAIL900
sortnetsort10.v.stepl.005FAIL900
sortnetsort8.v.stepl.007FAIL900
sortnetsort9.AE.stepl.007FAIL900
sortnetsort9.AE.stepl.008FAIL900
biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-009FAIL900
biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-010FAIL900
c1_Debug_s5_f1_e1_v2FAIL900
c2_Debug_s3_f1_e1_v2FAIL900
c2_Debug_s3_f2_e1_v3FAIL900
c4_Debug_s3_f1_e2_v3FAIL900
c4_Debug_s3_f2_e2_v2FAIL900
c4_Debug_s3_f2_e2_v3FAIL900
c4_Debug_s5_f2_e2_v1FAIL900
c1_BMC_p1_k2048FAIL900
c1_BMC_p2_k1024FAIL900
c1_BMC_p2_k2048FAIL900
c1_Debug_s3_f2_e1_v2FAIL900
c1_Debug_s3_f2_e1_v1FAIL900
biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-009FAIL900
biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-009FAIL900
biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-010FAIL900
biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-010FAIL900
biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-009FAIL900
biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-006FAIL900
biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-009FAIL900
biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-010FAIL900
biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-008FAIL900
c1_Debug_s3_f1_e1_v1FAIL900
c3_BMC_p1_k256FAIL900
k_ph_p-19FAIL900
adder-10-satFAIL900
s1269_d10_sFAIL900
ev-pr-8x8-19-7-0-1-2-lgFAIL900
C6288.blif_0.10_0.20_0_1_out_exactFAIL900
k_branch_n-20FAIL900
test4_quant4FAIL900
s3330_d10_uFAIL900
test2_quant3FAIL900
k_ph_p-20FAIL900
Adder2-14-sFAIL900
C5315.blif_0.10_0.20_0_0_out_exactFAIL900
test2_quant_squaring3FAIL900
C6288.blif_0.10_0.20_0_0_inp_exactFAIL900
k_ph_n-20FAIL900
ev-pr-6x6-11-5-0-1-2-sFAIL900
C6288.blif_0.10_0.20_0_0_out_exactFAIL900
k_branch_p-16FAIL900
k_branch_n-12FAIL900
ev-pr-6x6-13-5-0-1-2-sFAIL900
ev-pr-6x6-17-5-0-1-2-sFAIL900
Adder2-16-cFAIL900
ev-pr-6x6-19-5-0-1-2-lgFAIL900
test2_quant_squaring2FAIL900
s3330_d9_sFAIL900
k_branch_p-21FAIL900
ev-pr-6x6-15-5-0-1-2-sFAIL900
C5315.blif_0.10_0.20_0_0_inp_exactFAIL900
Adder2-8-sFAIL900
ev-pr-8x8-17-7-0-1-2-lgFAIL900
k_branch_n-11FAIL900
k_branch_n-17FAIL900
k_branch_p-14FAIL900
k_branch_p-18FAIL900
k_branch_p-19FAIL900
k_ph_n-19FAIL900
Adder2-10-sFAIL900
adder-12-unsatFAIL900
Adder2-16-sFAIL900
ev-pr-6x6-19-5-0-1-2-sFAIL900
Adder2-8-cFAIL900
k_branch_n-21FAIL900
C6288.blif_0.10_1.00_0_0_inp_exactFAIL900
C5315.blif_0.10_0.20_0_1_out_exactFAIL900
test5_quant_squaring5FAIL900
test1_quant3FAIL900
ev-pr-8x8-15-7-0-1-2-lgFAIL900
test4_quant_squaring2FAIL900
k_ph_p-18FAIL900
network_irda_miniport_nscirda_comm.cFAIL900
tlc05-uniform-depth-60FAIL900
tlc05-uniform-depth-55FAIL900
tlc05-uniform-depth-80FAIL900
arbiter-06-comp-error01-qbf-hardness-depth-15FAIL900
arbiter-07-comp-error01-qbf-hardness-depth-20FAIL900
arbiter-08-comp-error02-qbf-hardness-depth-9FAIL900
arbiter-10-comp-error01-qbf-hardness-depth-22FAIL900
b20_C_3_2FAIL900
b21_C_3_206FAIL900
b22_C_2_12FAIL900
tlc05-uniform-depth-45FAIL900
tlc05-uniform-depth-40FAIL900
incrementer-enc02-uniform-depth-58FAIL900
incrementer-enc02-uniform-depth-63FAIL900
incrementer-enc06-uniform-depth-24FAIL900
incrementer-enc07-uniform-depth-25FAIL900
incrementer-enc08-uniform-depth-33FAIL900
tlc05-uniform-depth-50FAIL900
tlc05-uniform-depth-85FAIL900
tlc05-uniform-depth-65FAIL900
tlc05-uniform-depth-75FAIL900
tlc05-uniform-depth-70FAIL900
depots03_9FAIL900
driverlog10_6FAIL900
p20-20.pddl_planlen=30FAIL900
dungeon_i25-m12-u5-v0.pddl_planlen=197FAIL900
dungeon_i25-m12-u5-v0.pddl_planlen=198FAIL900
dungeon_i25-m12-u5-v0.pddl_planlen=199FAIL900
dungeon_i25-m12-u5-v0.pddl_planlen=200FAIL900
dungeon_i25-m12-u5-v0.pddl_planlen=59FAIL900
dungeon_i25-m12-u5-v0.pddl_planlen=81FAIL900
dungeon_i25-m12-u5-v0.pddl_planlen=86FAIL900
dungeon_i25-m12-u5-v0.pddl_planlen=92FAIL900
dungeon_i25-m12-u5-v0.pddl_planlen=98FAIL900
p20-20.pddl_planlen=29FAIL900
query71_query36_1344nFAIL900
dungeon_i25-m12-u5-v0.pddl_planlen=65FAIL900
pipesnotankage14_10FAIL900
pipesnotankage18_7FAIL900
pipesnotankage18_8FAIL900
eequery_query64_1344nFAIL900
nreachq_query11_1344nFAIL900
nreachq_query54_1344nFAIL900
query64_query11_1344nFAIL900
query71_query31_1344nFAIL900
query71_query34_1344nFAIL900
depots07_8FAIL900
network_trans_sys_notify.cFAIL900
c5_BMC_p1_k32FAIL900
stmt17_86_98FAIL900
stmt9_445_446FAIL900
stmt25_52_53FAIL900
stmt44_554_604FAIL900
stmt2_976_999FAIL900
stmt41_262_275FAIL900
stmt27_93_98FAIL900
stmt1_79_80FAIL900
s1269_d13_uFAIL900
s1269_d12_uFAIL900
stmt17_63_82FAIL900
stmt17_70_90FAIL900
c6_BMC_p1_k1024FAIL900
c6_BMC_p1_k2048FAIL900
c6_BMC_p1_k512FAIL900
stmt19_64_99FAIL900
stmt17_62_98FAIL900
stmt17_70_98FAIL900
stmt23_72_76FAIL900
stmt28_68_81FAIL900
stmt17_82_98FAIL900
stmt23_66_96FAIL900
s3330_d14_uFAIL900
s1269_d14_uFAIL900
small-swap1-fixpoint-7FAIL900
small-swap1-fixpoint-4FAIL900
small-swap1-fixpoint-5FAIL900
small-swap1-fixpoint-9FAIL900
small-swap1-fixpoint-10FAIL900
kmdf_osrusbfx2_exe_dump.cFAIL900
filesys_smbmrx_cvsndrcv.cFAIL900
network_ndis_rtlnwifi_hw_hw_ccmp.cFAIL900
input_pnpi8042_moudep.cFAIL900
filesys_smbmrx_midatlas.cFAIL900
small-swap1-fixpoint-6FAIL900
small-swap1-fixpoint-8FAIL900
W4-Umbrella_tbm_26.tex.moduleQ3.7S.000003FAIL900
W4-Umbrella_tbm_21.tex.moduleQ3.6S.000001FAIL900
W5-Umbrella_tbm_25.tex.moduleQ3.7S.000003FAIL900
W5-Umbrella_tbm_26.tex.moduleQ3.7S.000003FAIL900
W4-Umbrella_tbm_25.tex.moduleQ3.7S.000003FAIL900
Core1108_tbm_21.tex.module.000008FAIL900
Core1108_tbm_21.tex.module.000030FAIL900
W4-Umbrella_tbm_05.tex.moduleQ3.8S.000001FAIL900
Umbrella_tbm_05.tex.module.000039FAIL900
W5-Umbrella_tbm_05.tex.moduleQ3.8S.000001FAIL900
filesys_fastfat_cachesup.cFAIL900